GLORIA

GEOMAR Library Ocean Research Information Access

Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
Filter
  • MDPI AG  (3)
  • 1
    In: Sensors, MDPI AG, Vol. 22, No. 21 ( 2022-10-28), p. 8258-
    Abstract: Using a new implantation technique with multielement molecular ions consisting of carbon, hydrogen, and phosphorus, namely, CH2P molecular ions, we developed an epitaxial silicon wafer with proximity gettering sinks under the epitaxial silicon layer to improve the gettering capability for metallic impurities. A complementary metal-oxide-semiconductor (CMOS) image sensor fabricated with this novel epitaxial silicon wafer has a markedly reduced number of white spot defects, as determined by dark current spectroscopy (DCS). In addition, the amount of nickel impurities gettered in the CH2P-molecular-ion-implanted region of this CMOS image sensor is higher than that gettered in the C3H5-molecular-ion-implanted region; and this implanted region is formed by high-density black pointed defects and deactivated phosphorus after epitaxial growth. From the obtained results, the CH2P-molecular-ion-implanted region has two types of complexes acting as gettering sinks. One includes carbon-related complexes such as aggregated C–I, and the other includes phosphorus-related complexes such as P4–V. These complexes have a high binding energy to metallic impurities. Therefore, CH2P-molecular-ion-implanted epitaxial silicon wafers have a high gettering capability for metallic impurities and contribute to improving the device performance of CMOS image sensors. (This manuscript is an extension from a paper presented at the 6th IEEE Electron Devices Technology & Manufacturing Conference (EDTM 2022)).
    Type of Medium: Online Resource
    ISSN: 1424-8220
    Language: English
    Publisher: MDPI AG
    Publication Date: 2022
    detail.hit.zdb_id: 2052857-7
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 2
    In: Sensors, MDPI AG, Vol. 19, No. 9 ( 2019-05-04), p. 2073-
    Abstract: We developed silicon epitaxial wafers with high gettering capability by using hydrocarbon–molecular–ion implantation. These wafers also have the effect of hydrogen passivation on process-induced defects and a barrier to out-diffusion of oxygen of the Czochralski silicon (CZ) substrate bulk during Complementary metal-oxide-semiconductor (CMOS) device fabrication processes. We evaluated the electrical device performance of CMOS image sensor fabricated on this type of wafer by using dark current spectroscopy. We found fewer white spot defects compared with those of intrinsic gettering (IG) silicon wafers. We believe that these hydrocarbon–molecular–ion–implanted silicon epitaxial wafers will improve the device performance of CMOS image sensors.
    Type of Medium: Online Resource
    ISSN: 1424-8220
    Language: English
    Publisher: MDPI AG
    Publication Date: 2019
    detail.hit.zdb_id: 2052857-7
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 3
    In: Sensors, MDPI AG, Vol. 20, No. 22 ( 2020-11-19), p. 6620-
    Abstract: The impact of hydrocarbon-molecular (C3H6)-ion implantation in an epitaxial layer, which has low oxygen concentration, on the dark characteristics of complementary metal-oxide-semiconductor (CMOS) image sensor pixels was investigated by dark current spectroscopy. It was demonstrated that white spot defects of CMOS image sensor pixels when using a double epitaxial silicon wafer with C3H6-ion implanted in the first epitaxial layer were 40% lower than that when using an epitaxial silicon wafer with C3H6-ion implanted in the Czochralski-grown silicon substrate. This considerable reduction in white spot defects on the C3H6-ion-implanted double epitaxial silicon wafer may be due to the high gettering capability for metallic contamination during the device fabrication process and the suppression effects of oxygen diffusion into the device active layer. In addition, the defects with low internal oxygen concentration were observed in the C3H6-ion-implanted region of the double epitaxial silicon wafer after the device fabrication process. We found that the formation of defects with low internal oxygen concentration is a phenomenon specific to the C3H6-ion-implanted double epitaxial wafer. This finding suggests that the oxygen concentration in the defects being low is a factor in the high gettering capability for metallic impurities, and those defects are considered to directly contribute to the reduction in white spot defects in CMOS image sensor pixels.
    Type of Medium: Online Resource
    ISSN: 1424-8220
    Language: English
    Publisher: MDPI AG
    Publication Date: 2020
    detail.hit.zdb_id: 2052857-7
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. More information can be found here...