GLORIA

GEOMAR Library Ocean Research Information Access

Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
  • 1
    In: ECS Transactions, The Electrochemical Society, Vol. 69, No. 8 ( 2015-09-11), p. 147-152
    Abstract: The Gate All-Around device architecture requires the formation of semiconductor nanowires. As an example SiGe nanowires can be formed by the selective removal of rSi in a Si-SiGe fin-shaped stack. In this paper we will show how alkaline solutions can be used for the selective removal of Si to SiGe and SiGe to Ge. We will also show that the anisotropy of the SiGe alkaline etch is not an extension of Si, even at low to moderate Ge concentrations (Ge ≤ 50%).
    Type of Medium: Online Resource
    ISSN: 1938-5862 , 1938-6737
    Language: Unknown
    Publisher: The Electrochemical Society
    Publication Date: 2015
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 2
    Online Resource
    Online Resource
    Trans Tech Publications, Ltd. ; 2014
    In:  Solid State Phenomena Vol. 219 ( 2014-9), p. 105-108
    In: Solid State Phenomena, Trans Tech Publications, Ltd., Vol. 219 ( 2014-9), p. 105-108
    Abstract: of high mobility channels materials like Ge. The introduction of Ge as channel material has already shown significant interests in term of device performance enhancement [1,2]. However, the use of Ge in CMOS integration has raised new challenges in terms of clean or wet etch steps since significant Ge loss occurs when it oxidize s in aqueous media.
    Type of Medium: Online Resource
    ISSN: 1662-9779
    URL: Issue
    Language: Unknown
    Publisher: Trans Tech Publications, Ltd.
    Publication Date: 2014
    detail.hit.zdb_id: 2051138-3
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 3
    In: ECS Meeting Abstracts, The Electrochemical Society, Vol. MA2014-02, No. 35 ( 2014-08-05), p. 1856-1856
    Abstract: Inline light scattering measurements are frequently used to determine wafer quality and cleanliness. In this paper we will show how this technique can be extended to determine the crystalline quality after hetero-epitaxy. Misfits on the surface of the epitaxially grown layer cause increased surface light scattering. The Si 0.8 Ge 0.2 -on-Si epitaxial quality has been evaluated by surface light scattering and correlated with the controlled variation of the interfacial oxygen between the Si substrate and epitaxially grown Si 0.8 Ge 0.2 . SiGe-on-Si hetero-epitaxy is used for strain engineering in transistors. SiGe, Ge and III-V materials are also under consideration as transistor channel in future technology nodes. [1] With the current need for low thermal budget processing in combination with the move to non-planar device structures like FINFETs, oxygen contamination at the Si substrate - epitaxial SiGe interface which is known to degrade the SiGe crystalline quality, is receiving some renewed interest. [2] Dislocations formed during SiGe epitaxy can extend to the surface of the epitaxial layer where they will be present as surface steps. Surface imperfections can be detected by optical differential interference contrast (Nomarski) microscopy. Several other techniques are available and in use to inspect the strained epi layer e.g. HRXRD, TEM and lifetime measurements. In this paper, we will show how hetero-epitaxial-crystalline quality can be evaluated using surface light scattering. Surface imperfections can also be detected by scanning laser light scattering and quantified using a hazeline algorithm present on the KLA-Tencor Surfscan SP3. Previously, this algorithm has been demonstrated to quantify CMP scratches. [3] Figure 1a shows a 2D plot of the so-called haze component surface light scattering intensity of an epitaxial 80nm Si-cap/80nm Si 0.8 Ge 0.2 bilayer grown on a 300mm Si substrate (ASM Epsilon 3200) measured by a KLA-Tencor Surfscan SP3. The epitaxial layer is grown on a Si substrate in a one-step process after a diluted HF last surface treatment followed by a 2 min H 2 bake at different temperatures. Optimal and non-optimal bake conditions have been used to obtain a controlled variation of the remaining oxide on the Si substrate surface on top of which the epitaxial layer is grown. The light scattering plot clearly shows the presence of defects that follow the crystallographic directions of the Si substrate. The interfacial oxygen correlates well with SiGe epitaxial quality as quantified by the hazeline algorithm. (see Fig. 1b) In this paper we demonstrate the use of inline light scattering to quantify the epitaxial quality of Si 0.8 Ge 0.2 grown on a Si substrate. Its advantages and its limitations will be explained. [1] M.L. Lee et al. Appl. Phys. Rev. 97 (2005) 011101 [2] V. Machkaoutsan, ECS Transactions 50, (2012) 339 [3] B. Pinto et al. Yield Management Solutions (Spring 2007) 28-32 Figure 1: (a) 2D plot of the surface light scattering intensity. The notch of the 300 mm wafer is facing the bottom of the picture; and (b) interfacial oxygen (open symbols) between the epitaxial SiGe and Si substrate and hazeline area (closed symbols) as a function of the H 2 bake temperature.
    Type of Medium: Online Resource
    ISSN: 2151-2043
    Language: Unknown
    Publisher: The Electrochemical Society
    Publication Date: 2014
    detail.hit.zdb_id: 2438749-6
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 4
    In: ECS Meeting Abstracts, The Electrochemical Society, Vol. MA2015-02, No. 27 ( 2015-07-07), p. 1027-1027
    Abstract:  The next era in CMOS technology scaling seeks not only to introduce SiGe or Ge [1] but also aims at replacing the FINFET technology by a gate all around concept, requiring selective removal of Si 1-x Ge x to Si 1-y Ge y (with 0 ≤ x, y ≤ 1).  Gate All-Around (GAA) integration schemes are investigated for improved short channel control. In this paper we will show how alkaline solutions can be used for selective etch of Si and SiGe up to 50% and that the alkaline etch behavior of SiGe is not merely an extension of Si, even at low to moderate Ge concentrations (Ge ≤ 50%).  The exponential decrease in alkaline etch rate of SiGe with increasing Ge concentration enables the selective removal of Si to Si 0.75 Ge 0.25 . [2] Alkaline etching of Si has been studied extensively and is very well understood. [3] The oxidation of Si by water is catalyzed by the hydroxyl anion and results in anisotropic etch properties. The Si (001) and (110) directions etch much faster compared to the Si (111) crystallographic planes. The alkaline dissolution mechanism of SiGe is much less studied and understood. Figure 1 shows a Si-Si 0.75 Ge 0.25 multilayer stack after alkaline selective etch in 5% TMAH at 60¢ªC. The stack was made by FIN patterning of an epitaxial Si 0.75 Ge 0.25 / Si / Si 0.75 Ge 0.25 / Si multilayer. The TEM picture shows the complete removal of the top Si layer. The selective etch of the Si layer sandwiched between two Si 0.75 Ge 0.25 layers is limited by the slowly etching Si (111) planes, resulting in the formation of inverted pyramids. Figure (1) shows that the Si 0.75 Ge 0.25 layer is also limited by the (111) crystallographic planes. The shape of convex corners results from the crystallographic planes with the highest etch rate. [4] The pyramidal shape of the Si 0.75 Ge 0.25 layer indicates that the Si 0.75 Ge 0.25 (111) plane etches faster than (110). This is very different from Si where (111) planes etch much slower than the (110) planes.   In this paper we will show how SiGe (Ge ≤ 50%) alkaline etching behaves differently compared to Si and its implications to Si or SiGe selective etch. In addition we will show how improper control of process conditions can change SiGe etching from anisotropic to isotropic. [1] M.L. Lee et al. Appl. Phys. Rev. 97 (2005) 011101. [2] Seidel et al. J. Electrochem. Soc. 137 (1990) 3626. [3] M.A. Hines, Annu Rev Phys Chem 54 (2003) 29-56. [4] P. Pal et al. J. Micromech. Microeng 17 (2007) R111-R133. Figure 1
    Type of Medium: Online Resource
    ISSN: 2151-2043
    Language: Unknown
    Publisher: The Electrochemical Society
    Publication Date: 2015
    detail.hit.zdb_id: 2438749-6
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 5
    In: Solid State Phenomena, Trans Tech Publications, Ltd., Vol. 219 ( 2014-9), p. 20-23
    Abstract: Epitaxial growth requires a clean starting surface for the growth of a high-quality crystalline layer. For epitaxy on Si, an HF-last wet clean followed by an in-situ high-temperature hydrogen bake is the reference pre-epi clean sequence to obtain an oxygen-free surface [1, 2]. The temperature required to remove all residual oxygen also makes the surface atoms mobile, resulting in reflow. The high temperatures used during the H 2 -bake can also result in intolerable doping profile changes. A lower temperature pre-epi clean sequence is required to avoid this reflow, especially when moving away from Si. In addition the high temperatures needed during a H 2 -bake would result in the relaxation of high mobility channels, e.g. strained Si 1-x Ge x or III-V materials [3]. Several low temperatures pre-epi cleaning solutions have been proposed in the past, e.g. GeH 4 -assisted H 2 -bake [4] or more recently, a GeH 4 -assisted HCl clean [5]. In this study we looked at the interaction between HF-last wet clean and the in-situ GeH 4 -assisted HCl clean prior to Si 0.8 Ge 0.2 -on-Si epitaxy.
    Type of Medium: Online Resource
    ISSN: 1662-9779
    URL: Issue
    Language: Unknown
    Publisher: Trans Tech Publications, Ltd.
    Publication Date: 2014
    detail.hit.zdb_id: 2051138-3
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 6
    Online Resource
    Online Resource
    Trans Tech Publications, Ltd. ; 2014
    In:  Solid State Phenomena Vol. 219 ( 2014-9), p. 89-92
    In: Solid State Phenomena, Trans Tech Publications, Ltd., Vol. 219 ( 2014-9), p. 89-92
    Abstract: The wet cleaning process plays an important role in advanced semiconductor industry. Particularly when bare silicon areas are exposed, wafer drying can result in undesired watermark (WM) residues on the surface [1-2]. In principle there are three components effecting the formation, shape and size of WM. 1) composition of the ambient like oxygen concentration, relative humidity and temperature affect WM formation [3] . 2) liquid: factors such as pH and the amount of dissolved species inside the liquid influence the WM composition. 3) substrate: for silicon for instance: surface passivation is important for WM formation [2]. WMs are composed of silica that is formed during the drying process by oxidation of the silicon surface [1] . Therefore when studying WM formation it is important to understand the mechanism (s) of silicon oxidation and the dissolution.
    Type of Medium: Online Resource
    ISSN: 1662-9779
    URL: Issue
    Language: Unknown
    Publisher: Trans Tech Publications, Ltd.
    Publication Date: 2014
    detail.hit.zdb_id: 2051138-3
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 7
    Online Resource
    Online Resource
    The Electrochemical Society ; 2013
    In:  ECS Transactions Vol. 58, No. 6 ( 2013-08-31), p. 183-190
    In: ECS Transactions, The Electrochemical Society, Vol. 58, No. 6 ( 2013-08-31), p. 183-190
    Abstract: Dynamics of the evaporative drying of ultrapure water (UPW) droplets on a hydrophobic Si surface in a controlled ambient is studied. A quantitative study of the watermarks (WM) residue volume and mass is performed from low to high ambient humidity. The effects of oxygen in the gas phase and dissolved O 2 concentration in UPW are investigated for different levels of ambient humidity. The shape of the drying residue on surface is studied to estimate the mechanism of residual colloids/particles deposition in presence of different ambient conditions. Our quantitative study on WM formation in different ambient humidity showed a linear increase in drying residue mass as a function of the initial UPW droplet volume.
    Type of Medium: Online Resource
    ISSN: 1938-5862 , 1938-6737
    Language: Unknown
    Publisher: The Electrochemical Society
    Publication Date: 2013
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 8
    In: ECS Transactions, The Electrochemical Society, Vol. 64, No. 6 ( 2014-08-12), p. 669-673
    Abstract: H-terminated Si surfaces serve as the starting surface for hetero-epitaxial growth. The presence of oxygen on the wafer surface can significantly reduce the epitaxial layer quality. The oxygen present on the surface is, among others, determined by the re-oxidation of the surface during the queuing between cleaning and epitaxy. In this paper we report on the use of a N 2 -purged FOUP to reduce the re-oxidation of Si surfaces.
    Type of Medium: Online Resource
    ISSN: 1938-5862 , 1938-6737
    Language: Unknown
    Publisher: The Electrochemical Society
    Publication Date: 2014
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 9
    In: ECS Transactions, The Electrochemical Society, Vol. 64, No. 6 ( 2014-08-12), p. 989-995
    Abstract: Inline light scattering measurements are frequently used to determine wafer quality and cleanliness. In this paper we will show how this technique can be extended to determine the crystalline quality after hetero-epitaxy. Misfits on the surface of the epitaxial layer cause increased surface light scattering. The Si 0.8 Ge 0.2 -on-Si epitaxial quality has been evaluated by surface light scattering. A correlation is observed with the controlled variation of the interfacial oxygen between the Si substrate and epitaxial Si 0.8 Ge 0.2 .
    Type of Medium: Online Resource
    ISSN: 1938-5862 , 1938-6737
    Language: Unknown
    Publisher: The Electrochemical Society
    Publication Date: 2014
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
  • 10
    In: ECS Meeting Abstracts, The Electrochemical Society, Vol. MA2014-02, No. 35 ( 2014-08-05), p. 1825-1825
    Abstract: A H-terminated Si surface serves as the starting surface for hetero-epitaxial growth. The presence of oxygen on the wafer surface caused by surface re-oxidation can significantly reduce the epitaxial quality. In this paper we evaluated the capabilities of an Entegris EBM (Entegris Barrier Material) purged FOUP (Front-Opening-Unified-Pod) to reduce this re-oxidation. The surface cleanliness is one of the factors affecting (hetero-) epitaxial quality. Clean starting surfaces can be obtained by a high temperature H 2 -bake (T 〉 800 °C). [1] Surface reflow during these high temperature bakes can significantly impact the integrity of small structures, e.g. causing corner rounding of FINFET structures. [2] As the clean starting surface can no longer be obtained by the H 2 -bake at relative high temperatures, more stringent requirements are put on the pre-epi clean and on the impact of queue time between wet-chemical clean and epitaxial growth. We have evaluated the re-oxidation of H-terminated 300 mm Si surfaces in a non-purged FOUP compared to an EBM purged FOUP while the FOUP is open and docked to the factory interface. Re-oxidation or degradation of the H-terminated Si surface has been evaluated by capping the surface with an epitaxial-grown 80nm Si-cap/80nm Si 0.8 Ge 0.2 bilayer. The H-terminated surface was created in a separate step before the epitaxial growth by applying a thermal treatment in H 2 in a conventional ASM Epsilon3200 epi reactor. The Si wafer was unloaded in between the thermal treatment and the Si-cap/SiGe epitaxial growth step. This procedure offers the most-controllable scheme for creating a H-passivated surface but the learnings are also applicable to wet-chemical pre-epi treatments. The Si 0.8 Ge 0.2 / Si substrate interfacial cleanliness was evaluated at the center of the wafer by SIMS (Fig. 1). The EBM purged FOUP shows a lower interfacial degradation compared to the standard FOUP. The uniformity of the surface degradation has been investigated by surface light scattering (data not shown). While the light-scattering intensity and its range increased significantly after queuing for 1hr in a non-purged FOUP, this increase was suppressed when using the N 2 -purged FOUP. The light scattering measurements also show that the epitaxial quality is better in a N2-purged FOUP compared to a non-purged FOUP but degraded compared to the loadlock reference. In this paper we evaluated the impact of queue time of an H-terminated Si surface in a non-purged FOUP compared to an EBM N 2 -purged FOUP. The interfacial degradation as measured by light scattering and SIMS for 1hr of queue time is shown to be less when the EBM N 2 -purged FOUP is used. However the epitaxial quality degradation was shown not to be completely suppressed. References [1] R. Loo et al. Solid State Phenomena 145-146, (2009) 177 [2] N. Sato et al. Appl. Phys. Lett. 65 (1994) 1924 Figure 1: Degradation of the Si 0.8 Ge 0.2 -on-Si interface as measured by SIMS. The interfacial oxygen concentration is plotted as a function of the queuing condition.
    Type of Medium: Online Resource
    ISSN: 2151-2043
    Language: Unknown
    Publisher: The Electrochemical Society
    Publication Date: 2014
    detail.hit.zdb_id: 2438749-6
    Location Call Number Limitation Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. More information can be found here...